# Clock signal set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk_i }]; #IO_L12P_T1_MRCC_35 Sch=clk100mhz create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports { clk_i }]; # RS232 input & output set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { RXD_i }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { TXD_o }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out #virtual Buttons set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { rst_i }]; # virtual BTN3 ## Configuration options, can be used for all designs set_property CONFIG_VOLTAGE 3.3 [current_design] set_property CFGBVS VCCO [current_design]