Logo Katedry
Strona główna
Katedra Systemów Mikroelektronicznych, Wydział Elektroniki, Telekomunikacji i Informatyki, Politechnika Gdańska Logo Wydziału
English English version

DTMF - mnozniki sprzętowe

W projekcie można wykorzystać sprzętowe mnożniki dostępne w układzie Virtex 2-Pro (dostępnych jest 136 szt.).
Narzędzie syntezy automatycznie rozpozna poniższy kod VHDL jako mnożnik dwóch liczb 16 bitowych (zapisanych w kodzie uzupełnienia do 2) i wykorzysta jeden z dostępnych mnożników sprzętowych MULT18x18s.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
entity mul_16x16 is
Port (
a : in STD_LOGIC_VECTOR (15 downto 0);
b : in STD_LOGIC_VECTOR (15 downto 0);
c : out STD_LOGIC_VECTOR (31 downto 0));
end mul_16x16;

architecture Behavioral of mul_16x16 is

begin
c<= a*b;
end Behavioral;

Schemat blokowy szkieletu modułu AC97
Rys. 1 Przebieg sygnałów wejściowych (a i b) oraz wyjściowego (c) z mnożnika sprzętowego.