Synthesis and implementation

1. To synthesize and implement your deisgn, simply press Generate Bitstream. It's a good idea to make sure you have the correct project file set to Top in Design Sources (it must be in bold):

2. Synthesis, implementation and generation of a bit file are long-term processes. Vivado informs you of the progress in the top right corner of the screen:

3. Any errors will appear in the Tcl Console / Messages / Log window.